Photos are available in the DATE 2024 Gallery.

The time zone for all times mentioned at the DATE website is CET – Central Europe Time (UTC+1). AoE = Anywhere on Earth.

W07 Enabling rapid and sustainable RISC-V based research using open source HW and SW

Start
Wed, 27 Mar 2024 08:30
End
Wed, 27 Mar 2024 12:30
Room
S3+4
Organiser
David Atienza, EPFL, Switzerland
Organiser
Davide Schiavone, ESL, EPFL, Switzerland
Organiser
Jose Miranda, ESL, EPFL, Switzerland
Organiser
Alfonso Rodriguez, CEI, UPM, Spain
Organiser
Alessio Burrello, Polytechnic of Turin, Italy
Organiser
Daniele Pagliari, Polytechnic of Turin, Italy
Organiser
Maurizio Martina, Polytechnic of Turin, Italy

In an era where technological advancements are increasingly interwoven with our daily lives, the call for openness, transparency, and collaboration in hardware (HW) and software (SW) design has become louder and more urgent. The proprietary models of the past, with their walled gardens and restrictive ecosystems, are being challenged by a wave of open-source initiatives that promise greater user sovereignty, enhanced interoperability, and an unparalleled acceleration of innovation. This movement away from closed systems towards an open-source paradigm is not merely a trend but a foundational shift towards empowering users and developers to freely explore, modify, and build upon existing technologies.

This workshop aims to bridge the gap between academia and industry, fostering a rich exchange on the virtues and challenges of open-source HW and SW. By placing a spotlight on X-HEEP, ESP, and other pioneering platforms as illustrative examples, the event will delve into how open-source approaches are reshaping the landscape of technological development. These platforms, though diverse in their applications and architecture, share a common goal: to advance the field of VLSI/ASIC system design, automation, and testing through the principles of open collaboration and innovation.

Moreover, the workshop will include discussions on other influential open-source HW initiatives, such as OpenRoad, and SkyWater Technology. These exemplify the democratizing power of open-source, offering tools, IPs, and process design kits (PDKs) that are revolutionizing chip design and semiconductor manufacturing. By showcasing the collective achievements and potential of these initiatives, the event will highlight the crucial role open-source technology plays in not only advancing hardware research but also in enabling a broader range of applications—from healthcare to sustainable energy solutions.

This convergence of ideas and technologies underscores a larger vision: a future where innovation is driven by collaboration, accessibility, and sustainability. The workshop represents a pivotal moment for participants to explore how open-source HW and SW can serve as key enablers for this vision, facilitating a more inclusive and innovative technological ecosystem. It's an invitation to academics, industry professionals, and enthusiasts to come together in support of a movement that champions ethical practices, promotes repairability, and aims to reduce electronic waste.

Workshop Kick-off

Session Start
Wed, 08:30
Session End
Wed, 09:00
Speaker
David Atienza, EPFL, Switzerland
Speaker
Jose Miranda, ESL, EPFL, Switzerland
Speaker
Davide Schiavone, ESL, EPFL, Switzerland

Kick-off presentation: platforms/tools to enable rapid and sustainable ASIC research, design, and implementation

See slides here

Providing RISC-V-based Heterogeneous Systems-on-Chip with Efficient Reconfigurable Computing

Session Start
Wed, 09:00
Session End
Wed, 09:30
Speaker
Daniel Vazquez, UPM, Spain

Integrating reconfigurable hardware components into heterogeneous Systems-on-Chip (SoCs) presents a promising opportunity for tackling the growing demand for performance, flexibility, and energy efficiency in computing systems. In this context, this presentation describes the use of Coarse-Grained Reconfigurable Architectures (CGRAs) for deploying domain-specific applications in energy-constrained scenarios. Despite being less flexible than fine-grain reconfigurable FPGAs, the coarser granularity of the CGRA reconfigurable elements reduces the reconfiguration overhead in terms of time and power consumption. CGRA-base acceleration can benefit from the Open-source RISC-V-based ecosystem to provide innovative SoCs by reusing highly flexible processing architectures already tested and validated, together with the proposed reconfigurable architecture. This approach makes it possible to focus on exploring different configurations for the memory infrastructure, on-chip interconnections, and control interfaces to properly exploit the CGRA. In particular, this presentation addresses the integration of an elastic CGRA accelerator into two different open-source frameworks: Chipyard and X-HEEP. These two variants target different computing scenarios, high-performance, and low-power embedded computing, respectively, showcasing the adaptability of the CGRA fabric while meeting diverse computing needs.

See slides here

Open-source software in an open-source hardware environment: an end-to-end stack for AI optimization and security

Session Start
Wed, 09:30
Session End
Wed, 10:00
Speaker
Alessio Burrello, Polytechnic of Turin, Italy

This talk will discuss how the X-HEEP platform enables a streamlined, open-source, and efficient development of new hardware components and new software flows that target such hardware, including AI deployment on heterogeneous accelerators. Moreover, the talk will discuss hardware and software methods to deal with safety and security aspects. In particular, the talk will focus on three main aspects: i) a near-memory hardware platform designed and tailored to artificial intelligence (AI) and machine learning (ML) applications, mainly deep neural networks (DNNs). This hardware is integrated into the X-HEEP ecosystem, demonstrating the crucial need for an open-source hardware environment to deploy new accelerators efficiently; II) a flexible and automated deployment framework that can be easily extended to new hardware for executing full-fledged DNNs on it. The pipeline will be demonstrated on previous existing open-source hardware, showing the key aspects to be adapted for new hardware platforms as the one shown in the first part; and III) methodologies and key figures to deal with the safety and security of RISC-V systems. Concerning safety, a brief overview of the methods to develop Software Test Libraries (STLs) will be shown, as well as fault grading experiments on RISC-V cores embedded in the X-HEEP ecosystem. On the other side, a preliminary analysis regarding the security issues of a tinyML application fully implemented on X-HEEP was done.

See slides here

Using X-Heep for commercial compiler tool chain development

Session Start
Wed, 10:30
Session End
Wed, 11:00
Keynote Speaker
Jeremy Bennett, Embecosm, United Kingdom

Embecosm develops commercially robust open source compilers for a wide range of processors. By commercially robust, we mean compilers which reliably produce correct code which is fast and/or compact.

To achieve this robustness, we must thoroughly test and benchmark the compilers. This can be achieved by running on the target architecture's silicon. However we are often developing compilers pre-silicon, and so we must rely on processor models for testing. For functional testing we can use design models or instruction set simulators, although there is always the small risk that the design specification does not match the final implementation. However for benchmarking and sign-off testing, we must use the implementation, for which there are broadly three choices.

1. We can test against traditional event-driven simulation, but such simulators are not very fast, mostly closed source and are not easy to interface to from software environments.

2. We can use FPGA emulation, although not all designs work well in emulation, and large FPGAs can be expensive.

3. Finally, Verilator models are an attractive option, because they are cycle accurate, reasonably fast and easy to interface.

To test a compiler, the target needs more than the processor core. It has to be combined with memory in which to hold programs and data. And for all but the simplest processors its needs a debug unit, to allow the processor to be halted with its exterior state (registers, memory) in a consistent state.

Creating the target with memory and debug is often problematic. It is not the final chip, so has to be created just to allow the tool chain to be tested. X-Heep is the potential solution to this, allowing us to generate FPGA implementations or Verilator models with flexible memory and debug configurations. For this reason we chose X-Heep for testing the compilers for the OpenHW Group's CV32E40Pv2 processors.

In this talk, I'll take you through our experience with X-Heep and its use to generate FPGA bitstreams and Verilator models. I'll look at what worked well and what did not, and I'll present some suggestions on how X-Heep can be improved for the future.

 

See slides here

Collaborative System-on-Chip Design with Open-Source Hardware Platforms

Session Start
Wed, 11:00
Session End
Wed, 11:30
Keynote Speaker
Luca Carloni, Columbia University , United States

Open-source hardware holds a promise of sustaining the progress of the semiconductor industry in the age of heterogeneous computing. It can enable design reuse, foster design collaboration, and support workforce development. ESP is an open-source research platform for system-on-chip (SoC) design that combines a modular architecture and an agile design methodology. The ESP architecture simplifies the design and prototyping of heterogeneous chips with multiple RISC-V processor cores and dozens of loosely-coupled accelerators, all interconnected with a scalable network-on-chip. The ESP methodology promotes system-level design while accommodating different specification languages and design flows. ESP's capabilities have allowed a small team of mostly graduate students to realize two SoCs of growing complexity, each in the span of just a few months. Conceived as a heterogeneous system integration platform and developed through years of teaching at Columbia University, ESP is intrinsically suited to advance collaborative engineering across the open-source hardware community.
 

Demo on Full Framework using RISC-V Open HW and SW tools to show rapid, end-to-end prototyping of an application.

Session Start
Wed, 11:30
Session End
Wed, 12:20
Speaker
Simone Machetti, ESL-EPFL, Switzerland
Speaker
Juan Sapriza, ESL-EPFL, Switzerland
Speaker
Ruben Rodriguez, ESL-EPFL, Switzerland

The hardware-software co-design process often involves specialists with different expertise, sometimes struggling to see the big picture. To gain a comprehensive understanding of solution effectiveness and efficiency, design space exploration is crucial. However, it typically involves lengthy simulations or custom developments, posing significant time and resource challenges.
In this presentation, we introduce X-HEEP's FEMU, an open-source platform designed to address these challenges. FEMU enables rapid prototyping of complete applications by leveraging hardware emulation and energy estimations, powered by an FPGA implementation of the open-hardware X-HEEP MCU. Our focus will be on showcasing the virtualization of an ADC (analog-to-digital converter) to evaluate acquisition applications. This demonstration allows real-time configuration of application, hardware, and software parameters, offering insights into latency issues and facilitating informed decision-making in the co-design process.

 

Slides here